Blog Presentasi.

Tugas Rangkaian masing-masing mikroprosessor

Unknown Unknown Author

MODUL




*klik teks untuk menuju 


Modul I
Mikrokontroller AT MEGA 128

a. Mempelajari aplikasi output pada mikrokontroller AT MEGA 128 
b. Mempelajari aplikasi input pada mikrokontroller AT MEGA 128
c. Mempelajari I/O pada mikrokontroller AT MEGA 128

 a. Module AT MEGA 128 
 b. LED 
 c. Seven Segment 
 d. Jumper 
 e. LCD
 f. Motor Stepper

1.3 Dasar Teori [kembali] 

Mikrokontroller ATMEGA 128 merupakan mikrokontroller keluarga AVR yang mempunyai kapasitas flash memori 128KB. AVR (Alf and Vegard’s Risc Processor) merupakan seri mikrokontroler CMOS 8-bit buatan ATEMEL inc, berdasarkan arsitektur RISC (Reduced Instruction Set Computer). Secara umum, AVR dapat terbagi menjadi 4 kelas, yaitu keluarga ATtiny, keluarga AT90Sxx, keluarga AT-Mega, dan AT86RFxx. Pada dasarnya yang membedakan masing-masing kelas adalah memori, peripheral, dan fungsinya. Dari segi arsitektur dan instruksi yang digunakan, bisa dikatakan hampir sama. Semua jenis AVR dilengkapi dengan flash memori sebagai memori program. Kapasitas dari flash memori ini berbeda antara chip yang satu dengan chip yang lain. Tergantung dari jenis IC yang digunakan. Untuk flash memori yang paling kecil adalah 1 kbytes (ATtiny11, ATtiny12, dan ATtiny15) dan paling besar adalah 128 kbytes (AT-Mega128). Berikut ini adalah spesifikasi Mikrokontroler AVR ATMega-128 dan konfigurasi pin ATMEGA 128. 

1. Saluran I/O sebanyak 56 buah, yaitu Port A, Port B, Port C, Port D, Port E, Port F dan Port G.
2. ADC 10 bit sebanyak 8 saluran.
3. 2 buah Timer/Counter 8 bit dan 2 buah Timer/Counter 16 bit.
4. Dua buah PWM 8 bit.
5. Watchdog Timer dengan osilator internal.
6. Internal SRAM sebesar 4 kbyte.
7. Memori flash sebesar 128 kBytes.
8. Interupsi Eksternal.
9. Port antarmuka SPI.
10. EEPROM sebesar 4 kbyte.
11. Real time counter.
12. 2 buah Port USART untuk komunikasi serial.
13. Enam kanal PWM.
14. Tegangan operasi sekitar 4,5 V sampai dengan 5,5V

Konfigurasi pin ATMEGA-128

1. Keypad 4x4
       Keypad adalah bagian penting dari suatu perangkat elektronika yang membutuhkan interaksi manusia. Keypad berfungsi sebagai interface antara perangkat (mesin) elektronik dengan manusia atau dikenal dengan istilah HMI (Human Machine Interface).Proses scaning untuk membaca penekanan tombol pada matrix keypad 4×4 untuk mikrokontroler diatas dilakukan secara bertahap kolom demi kolom dari kolom pertama sampai kolom ke 4 dan baris pertama hingga baris ke 4. Program untuk scaning matrix keypad 4×4 dapat bermacam-macam, tapi pada intinya sama. Misal kita asumsikan keyapad aktif LOW (semua line kolom dan baris dipasang resistor pull-up) dan dihubungkan ke port mikrokontrolr dengan jalur kolom adalah jalur input dan jalur baris adalah jalur output maka proses scaning matrix keypad 4×4 diatas dapat dituliskan sebagai berikut.
  • Mengirimkan logika Low untuk kolom 1 (Col1) dan logika HIGH untuk kolom yang lain kemudian membaca data baris, misal tombol SW1 ditekan maka data baris pertama (Row1) akan LOW sehingga data baris yang dibaca adalah 0111, atau tombol yang ditekan tombol SW5 maka data pada baris ke 2 akan LOW sehingga data yang terbaca 1011, atau tombol SW9 yang ditekan sehingga data yang terbaca 1101, atau tombol SW13 yang ditekan maka data yang dibaca adalah 1110 dan atau tidak ada tombol pada kolom pertama yang di tekan maka data pembacaan baris akan 1111.
  • Mengirimkan logika Low untuk kolom 2 (Col2) dan logika HIGH untuk kolom yang lain kemudian membaca data baris, misal tombol SW1 ditekan maka data baris pertama (Row1) akan LOW sehingga data baris yang dibaca adalah 0111, atau tombol yang ditekan tombol SW5 maka data pada baris ke 2 akan LOW sehingga data yang terbaca 1011, atau tombol SW9 yang ditekan sehingga data yang terbaca 1101, atau tombol SW13 yang ditekan maka data yang dibaca adalah 1110 dan atau tidak ada tombol pada kolom pertama yang di tekan maka data pembacaan baris akan 1111.
  • Mengirimkan logika Low untuk kolom 3 (Col3) dan logika HIGH untuk kolom yang lain kemudian membaca data baris, misal tombol SW1 ditekan maka data baris pertama (Row1) akan LOW sehingga data baris yang dibaca adalah 0111, atau tombol yang ditekan tombol SW5 maka data pada baris ke 2 akan LOW sehingga data yang terbaca 1011, atau tombol SW9 yang ditekan sehingga data yang terbaca 1101, atau tombol SW13 yang ditekan maka data yang dibaca adalah 1110 dan atau tidak ada tombol pada kolom pertama yang di tekan maka data pembacaan baris akan 1111.
  • Mengirimkan logika Low untuk kolom 4 (Col4) dan logika HIGH untuk kolom yang lain kemudian membaca data baris, misal tombol SW1 ditekan maka data baris pertama (Row1) akan LOW sehingga data baris yang dibaca adalah 0111, atau tombol yang ditekan tombol SW5 maka data pada baris ke 2 akan LOW sehingga data yang terbaca 1011, atau tombol SW9 yang ditekan sehingga data yang terbaca 1101, atau tombol SW13 yang ditekan maka data yang dibaca adalah 1110 dan atau tidak ada tombol pada kolom pertama yang di tekan maka data pembacaan baris akan 1111

2. Switch
    Switch (Saklar) adalah sebuah perangkat elektronik yang digunakan untuk memutuskan jaringan listrik atau untuk menghubungkannya. Jadi saklar pada dasarnya adalah alat penyambung atau pemutus aliran listrik. Selain untuk jaringan listrik arus kuat, saklar berbentuk kecil juga dipakai untuk alat komponen elektronika arus lemah.

Secara sederhana, saklar terdiri dari dua bilah logam yang menempel pada suatu rangkaian, dan bisa terhubung atau terpisah sesuai dengan keadaan sambung (on) atau putus (off) dalam rangkaian itu. Material kontak sambungan umumnya dipilih agar supaya tahan terhadap korosi. Kalau logam yang dipakai terbuat dari bahan oksida biasa, maka saklar akan sering tidak bekerja. Untuk mengurangi efek korosi ini, paling tidak logam kontaknya harus disepuh dengan logam anti korosi dan anti karat. Pada dasarnya tombol bisa diaplikasikan untuk sensor mekanik, karena bisa dijadikan sebagai pedoman pada mikrokontroller untuk pengaturan alat dalam pengontrolan.


3. Seven Segment
      Seven Segment Display (7 Segment Display) dalam bahasa Indonesia disebut dengan Layar Tujuh Segmen adalah komponen Elektronika yang dapat menampilkan angka desimal melalui kombinasi-kombinasi segmennya. Seven Segment Display pada umumnya dipakai pada Jam Digital, Kalkulator, Penghitung atau Counter Digital, Multimeter Digital dan juga Panel Display Digital seperti pada Microwave Oven ataupun Pengatur Suhu Digital . Seven Segment Display pertama diperkenalkan dan dipatenkan pada tahun 1908 oleh Frank. W. Wood dan mulai dikenal luas pada tahun 1970-an setelah aplikasinya pada LED (Light Emitting Diode).
Seven Segment Display memiliki 7 Segmen dimana setiap segmen dikendalikan secara ON dan OFF untuk menampilkan angka yang diinginkan. Angka-angka dari 0 (nol) sampai 9 (Sembilan) dapat ditampilkan dengan menggunakan beberapa kombinasi Segmen. Selain 0 – 9, Seven Segment Displayjuga dapat menampilkan Huruf Hexadecimal dari A sampai F. Segmen atau elemen-elemen pada Seven Segment Display diatur menjadi bentuk angka “8” yang agak miring ke kanan dengan tujuan untuk mempermudah pembacaannya. Pada beberapa jenis Seven Segment Display, terdapat juga penambahan “titik” yang menunjukan angka koma decimal.  Terdapat beberapa jenis Seven Segment Display, diantaranya adalah Incandescent bulbs, Fluorescent lamps (FL), Liquid Crystal Display (LCD) dan Light Emitting Diode (LED).

LED 7 Segmen Tipe Common Cathode (Katoda)

Pada LED 7 Segmen jenis Common Cathode (Katoda), Kaki Katoda pada semua segmen LED adalah terhubung menjadi 1 Pin, sedangkan Kaki Anoda akan menjadi Input untuk masing-masing Segmen LED.  Kaki Katoda yang terhubung menjadi 1 Pin ini merupakan Terminal Negatif (-) atau Ground sedangkan Signal Kendali (Control Signal) akan diberikan kepada masing-masing Kaki Anoda Segmen LED.

LED 7 Segmen Tipe Common Anode (Anoda)

Pada LED 7 Segmen jenis Common Anode (Anoda), Kaki Anoda pada semua segmen LED adalah terhubung menjadi 1 Pin, sedangkan kaki Katoda akan menjadi Input untuk masing-masing Segmen LED. Kaki Anoda yang terhubung menjadi 1 Pin ini akan diberikan Tegangan Positif (+) dan Signal Kendali (control signal) akan diberikan kepada masing-masing Kaki Katoda Segmen LED.






Unknown Unknown Author

Menampilkan Karakter Pada Seven Segment

     


    1. Hardware yang Akan digunakan




2.   Rangkaian Percobaan


3. Listing Program

#include <mega128.h>
#include <delay.h>

void tekan_keypad_tampil_sevseg()
{
PORTF = 0b11111011;
delay_ms(1);
if (PINF.4 == 0) {PORTE=0X06 ; delay_ms(3);}
if (PINF.4 == 0) {PORTD=0X06 ; delay_ms(3);}
if (PINF.5 == 0) {PORTE=0X66; delay_ms(3);}
if (PINF.6 == 0) {PORTE=0X07; delay_ms(3);}
if (PINF.7 == 0) {PORTE=0X3F; delay_ms(3);}
PORTF = 0b11111101;
delay_ms(1);
if (PINF.4 == 0) {PORTE=0X5B; delay_ms(3);}
if (PINF.4 == 0) {PORTD=0X5B; delay_ms(3);}
if (PINF.5 == 0) {PORTE=0X6D; delay_ms(3);}
if (PINF.6 == 0) {PORTE=0X7F; delay_ms(3);}
if (PINF.7 == 0) {PORTE=0X3F; delay_ms(3);}
PORTF = 0b11111110;
delay_ms(1);
if (PINF.4 == 0) {PORTE=0X4F; delay_ms(3);}
if (PINF.4 == 0) {PORTD=0X4F; delay_ms(3);}
if (PINF.5 == 0) {PORTE=0X7D; delay_ms(3);}
if (PINF.6 == 0) {PORTE=0X6F; delay_ms(3);}
if (PINF.7 == 0) {PORTE=0X3F; delay_ms(3);}
}

void switch_on()
{   

if (PINA.0 == 0) {PORTE=0X3f ; delay_ms(3);}
if (PINA.1 == 0) {PORTE=0X06; delay_ms(3);}

}


void main(void)
{
PORTF = 0xff;
DDRF = 0x0f;
PORTE=0X00;
DDRE=0XFF;
PORTA=0X00;
DDRA=0X00;
PORTD=0X00;
DDRD=0XFF;

while(1)
{
switch_on();
//tekan_keypad_tampil_sevseg();
};
}

4. Flowchart

5.  Pilih Salah Satu Tugas yang Akan dikerjakan Oleh Praktikan

1.      Bila ditekan switch pin 4 dan 5 akan muncul angka 13 dan 19 pada sevensegmen
2.      Bila ditekan angka 9 pada keypad akan muncul angka1 pada sevensegmen
3.      Bila ditekan switch pada pin 7 akan muncul sevensegmen up counter 0-9
4.       Bila ditekan switch pada pin 5 akan muncul sevensegmen down counter 9-0
5.      Ketika menekan angka 9 pada keypad akan muncul angka 99 pada seven segmen
6.      Ketika menekan switch 1 seven segmen akan counter up dan ketika switch 2 ditekan maka seven segmen berhenti
7.      Buatlah kalkulator 2 digit
8.      Ketika ditekan switch 8 maka seven segmen akan counter up 2 digit dari 0 sampai 9
9.      Ketika ditekan switch 9 maka seven segmen akan counter down 2 digit dari 9 sampai 0
10.  Ketika ditekan 2 switch seven segmen akan counter down 9 sampai 0
11.  Ketika ditekan 3 switch maka seven segmen akan counter up 0 sampai 9
12.  Ketika ditekan “#” pada keypad maka akan muncul “E” pada seven segmen
13.  Ketika ditekan semua switch maka seven segmen akan menampilkan angka 0
14.  Ketika keypad ditekan angka 8 maka akan muncul angka 88 pada seven segmen  
            15. Ketika keypad ditekan angka 1 muncul 9, angka 2 muncul 8 dan angka 3 muncul 7 pada                        seven segmen

6. File yang bisa di download
      a. HTML
       b. Prinsip Kerja Rangkaian
       c. Program
       d. Rangkaian Simulasi
       e. Video Simulasi



Unknown Unknown Author